Pages

Blogroll


I made this widget at MyFlashFetish.com.

Jumat, 24 Desember 2010

SEVEN SEGMENT DISPLAY

Tugas FPGA

Seven Segmen Display adalah merupakan suatu rangkaian komponen logika digital yang dapat menampilkan suatu karakter yang berupa angka-angka dan huruf sehingga dapat dimengerti oleh manusia. Pada seven segment terdapat 7(tujuh) dioda berupa LED(Light Emitting Diode) dan membentuk suatu karakter dengan menyalanya LED sebagai penanda.

Berikut ini adalah contoh seven segment pada gambar di bawah ini :

https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEh7VdviVqvaPMlcw5iDD_EBeUgf0MNXO8WcEi1CSsowQjHtB9oxEzpXsMXvQxeT6Zo7vzsheWw0s-DheG8i8QSgZy9pr5mdNxw-UkVktNyDLsjqYOimHEJ-8-XJfbRdmdZzNqHlt5O1Oss/s1600-h/seven_segment_displays.gif


Tidak ada komentar:

Posting Komentar