Pages

Blogroll


I made this widget at MyFlashFetish.com.

Jumat, 24 Desember 2010

SEVEN SEGMENT DISPLAY

Tugas FPGA

Seven Segmen Display adalah merupakan suatu rangkaian komponen logika digital yang dapat menampilkan suatu karakter yang berupa angka-angka dan huruf sehingga dapat dimengerti oleh manusia. Pada seven segment terdapat 7(tujuh) dioda berupa LED(Light Emitting Diode) dan membentuk suatu karakter dengan menyalanya LED sebagai penanda.

Berikut ini adalah contoh seven segment pada gambar di bawah ini :

Salah satu cara untuk menghasilkan sinyal-sinyal pengendali dari suatu seven segment display yaitu dengan menggunakan sebuah sevent-segment decoder. Seven-segment decoder membutuhkan 4 input sebagai angka berbasis heksadesimal yang dinyatakan dalam bahasa mesin (bilangan berbasis biner) kemudian sinyal-sinyal masukan tersebut akan ke decoder dan ke dalam sinyal-sinyal pengendali seven-segment display. Sinyal-sinyal pengendali berisi 7 sinyal yang setiap sinyalnya mengatur aktif-tidaknya setiap LED.

Berikut ini adalah table kebenaran dari seven segment :

Masing – masing bit keluaran a, b, c, d, e, f, dan g dapat dinyatakan sebagai berikut :

Berikut ini adalah contoh program :

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity test is
port (
clk : in std_logic;
bcd : in std_logic_vector(3 downto 0); --BCD input
segment7 : out std_logic_vector(6 downto 0) -- 7 bit decoded output.
);
end test;
--'a' corresponds to MSB of segment7 and g corresponds to LSB of segment7.
architecture Behavioral of test is

begin
process (clk,bcd)
BEGIN
if (clk'event and clk='1') then
case bcd is
when "0000"=> segment7 <="0000001"; -- '0'
when "0001"=> segment7 <="1001111"; -- '1'
when "0010"=> segment7 <="0010010"; -- '2'
when "0011"=> segment7 <="0000110"; -- '3'
when "0100"=> segment7 <="1001100"; -- '4'
when "0101"=> segment7 <="0100100"; -- '5'
when "0110"=> segment7 <="0100000"; -- '6'
when "0111"=> segment7 <="0001111"; -- '7'
when "1000"=> segment7 <="0000000"; -- '8'
when "1001"=> segment7 <="0000100"; -- '9'
--nothing is displayed when a number more than 9 is given as input.
when others=> segment7 <="1111111";
end case;
end if;

end process;

end Behavioral;

berikut ini adalah contoh gerbang logika pada seven segment :



SEVEN SEGMENT DISPLAY

Tugas FPGA

Seven Segmen Display adalah merupakan suatu rangkaian komponen logika digital yang dapat menampilkan suatu karakter yang berupa angka-angka dan huruf sehingga dapat dimengerti oleh manusia. Pada seven segment terdapat 7(tujuh) dioda berupa LED(Light Emitting Diode) dan membentuk suatu karakter dengan menyalanya LED sebagai penanda.

Berikut ini adalah contoh seven segment pada gambar di bawah ini :

https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEh7VdviVqvaPMlcw5iDD_EBeUgf0MNXO8WcEi1CSsowQjHtB9oxEzpXsMXvQxeT6Zo7vzsheWw0s-DheG8i8QSgZy9pr5mdNxw-UkVktNyDLsjqYOimHEJ-8-XJfbRdmdZzNqHlt5O1Oss/s1600-h/seven_segment_displays.gif


ATURAN PENGGUNAAN PERANGKAT TEKNOLOGI INFORMASI DAN KOMUNIKASI

Mungkin anda sering mengunjungi supermarket, ketika membeli barang yang akan anda beli, anda melihat seorang kasir mengetikan data barang yang anda beli tersebut. Pada saat itu, di layar computer muncul harga barang dan anda membayarkan uangnya. Pada proses tersebut, anda telah melihat proses penggunaan teknologi informasi dalam kehidupan sehari-hari.

Perkembangan teknologi sekarang begitu cepat seiring berkembangnya zaman modern dan begitu pesat kemudian berpengaruh dalam kehidupan umat manusia, terutama dalam dunia kerja dan dalam dunia pendidikan. Dalam etika dan moral dalam menggunakan computer, anda telah belajar untuk bersikap sopan, santun, dan beretika baik oleh orang tua anda, misalnya menghormati orang yang lebih tua dan bersikap ramah terhadap orang lain. Etika tidak dapat terpisah dari moral, orang yang beretika akan berperilaku sesuai dengan ajaran moral, dalam kehidupan seperti itu, perlu juga di terapkan dalam hubnugan dengan masalah perangkat lunak maupun keras,yang pada dasarnya merupakan hak cipta seseorang.

Perangkat lunak adalah sekumpulah perintah yang ditulis berdasarkan bahasa pemrograman yang di mengerti oleh computer sehingga perangkat lunak tersebut mampu menginstruksikan perintah tertentu yang akan dikerjakan oleh computer.

Perangkat lunak dan computer tida dapat di pisahkan karena computer akan bekerja apabila ada perangkat lunak yang di tulis oleh seorang programmer. Menciptakan perangkat lunak merupakan hal yang sangat sulit, karena banyak sekali aturan-aturan dan kemampuan intelektual yang di butuhkan dari seorang analisis system dan pemrogram. Oleh karena itu, dengan di berlakukanya undang-undang hak cipta, hasil kerja seorang analisis system dan pemrogram dapat di lindungi.

Hak cipta merupakan hak eksklusif bagi pencipta atau pemegang hak cipta untuk mengumumkan atau memperbanyak ciptaannya, yang timbul secara otomatis setelah itu ciptaan dilahirkan tanpa mengurangi pembatasan menurut undang-undang yang berlaku.

SISTEM OPERASI

System operasi merupakan perangkat lunak yang sangat penting dalam computer. Tugas dari system operasi, yaitu sebagai pengatur kerja dari perangkat keras (hardware) dan perangkat lunak (software). Anda dapat membayangkan system operasi sebagai polisi lalu lintas yang mengaturjalannya kendaraan dari berbagai arah sehingga tidak terjadi kemacetan. Dengan adanya system operasi, dapat di tentukan lokasi dalam penggunaan memori dalam computer oleh berbagai program yang sudah berjalan sehingga program tersebut dapat dijalankan secara bersama-sama.

Terdapat banyak programa system operasi, system operasi yang paling popular adalah system operasi windows yang di keluarkan oleh perusahaan Microsoft. Peran system operasi dalam computer yaitu mengatur dan mengendalikan serta memberikan kemudahan kepada user dalam menggunakan computer. Perangkat keras yang berbeda, di buat oleh pabrik yang berbeda sehingga mempunyai ciri dan pengoprasian yang berbeda-beda pula. Hal ini mengakibatkan kesulitan bagi seseorang yang membuat perangkat lunak guna mengaktifkan perangkat keras tersebut, untuk mengatasi masalah tersebut, maka dibuatlah suatu perangkat yang di sebut system operasi.

Contoh dalam system operasi tersebut Ms DOS, Microsoft windows, dan linux. Satu computer dapat menggunakan satu atau lebih dalam system operasi, misalnya dalam satu computer terdapat system operasi linux dan windows sehingga pengguna dapat bebas memilih system operasi mana yang akan dipakai.

Selain system operasi, terdapat juga perangkat lunak lain yang juga berguna untuk mengatur dana mengendalaikan perangkat keras, perangkat lunak tersebut yaitu BIOS (basic input/output system). BIOS bekerja pada saat proses booting, sedangkan OS bekerja sesudah proses booting.

System operasi berskala besar biasanya di gunakan di perkantoran, universitas, dan pemerintahan. Dengan berskala besar, banyak orang dapat menggunakan computer tersebut dalam waktu yang bersamaan.