Pages

Blogroll


I made this widget at MyFlashFetish.com.

Senin, 25 Oktober 2010

Library dan package pada VHDL

Library IEEE:

use IEEE.std_logic_1164.all;

use IEEE.std_logic_textio.all;

use IEEE.std_logic_arith.all;

use IEEE.numeric_bit.all;

use IEEE.numeric_std.all;

use IEEE.std_logic_signed.all;

use IEEE.std_logic_unsigned.all;

use IEEE.math_real.all;

use IEEE.math_complex.all;





Library STD:

use STD.standard.all;

use STD.textio.all;





Library WORK:

Sebuah pendeklarasian yang implisit, jika untuk membuat code ke dalam library untuk VHDL



contoh:

use WORK.data_types.all;

dll.





sumber : http://www.cs.umbc.edu/portal/help/VHDL/stdpkg.html

Tidak ada komentar:

Posting Komentar