Pages

Blogroll


I made this widget at MyFlashFetish.com.

Senin, 25 Oktober 2010

Program D flip-flop pada VHDL

Pada gambar tersebut adalah sebuah contoh program VHDL dari D flip-flop edge triggered positif dengan asynchronous Reset:








library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
port (CLK, RESET, D : in std_logic;
Q : out std_logic);
end DFF_RST;

architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
begin
if (RESET = ‘1’) then
Q <= ‘0’;
elsif (CLK’event and CLK = ‘1’) then
Q <= D;
end if;
end process;
end BEHAV_DFF;

Program D flip-flop pada VHDL


Pada gambar di atas adalah sebuah contoh program VHDL dari D flip-flop edge triggered positif dengan asynchronous Reset:

library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
port (CLK, RESET, D : in std_logic;
Q : out std_logic);
end DFF_RST;

architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
begin
if (RESET = ‘1’) then
Q <= ‘0’;
elsif (CLK’event and CLK = ‘1’) then
Q <= D;
end if;
end process;
end BEHAV_DFF;

Program D flip-flop pada VHDL









Pada gambar diatas adalah contoh program VHDL dari D flip-flop edge triggered positif dengan asynchronous reset :

library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
port (CLK, RESET, D : in std_logic;
Q : out std_logic);
end DFF_RST;

architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
begin
if (RESET = ‘1’) then
Q <= ‘0’; elsif (CLK’event and CLK = ‘1’) then Q <= D; end if; end process; end BEHAV_DFF;
https://blogger.googleusercontent.com/img/b/R29vZ2xl/AVvXsEhrfCemp-jCSte1m6td417aFHE8-V5xFTQoiNwISLnYBHqKzPVHHgF2EFrQpwC_lWAWZySkympkVkhMwt5OqVNiZxU2H209Ti_ihLprrAkQ3aG1zRvLY3dgsY_tHa88SiYh1gcEc8DIMzSO/s1600/dff_reset.jpg

Sebuah contoh program vhdl dari D flip-flop edge triggered positif dengan asynchronous Reset:

library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
port (CLK, RESET, D : in std_logic;
Q : out std_logic);
end DFF_RST;

architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
begin
if (RESET = ‘1’) then
Q <= ‘0’;
elsif (CLK’event and CLK = ‘1’) then
Q <= D;
end if;
end process;
end BEHAV_DFF;

Library dan package pada VHDL

Library IEEE:

use IEEE.std_logic_1164.all;

use IEEE.std_logic_textio.all;

use IEEE.std_logic_arith.all;

use IEEE.numeric_bit.all;

use IEEE.numeric_std.all;

use IEEE.std_logic_signed.all;

use IEEE.std_logic_unsigned.all;

use IEEE.math_real.all;

use IEEE.math_complex.all;





Library STD:

use STD.standard.all;

use STD.textio.all;





Library WORK:

Sebuah pendeklarasian yang implisit, jika untuk membuat code ke dalam library untuk VHDL



contoh:

use WORK.data_types.all;

dll.





sumber : http://www.cs.umbc.edu/portal/help/VHDL/stdpkg.html

Kenapa kita harus mempelajari bahasa indonesia.

Sebagai warga dan bangsa indonesia kita patut untuk mempelajarinya oleh sebab itu, sebagai warga indonesia kita bangga untuk mempelajarinya. Tidak semua orang indonesia mahir berbahasa indonesia, bisa berbicara dengan lancar tetapi tidak mengerti apa arti dari kata tersebut, dengan asal berucap kata tetapi tidak mengerti artinya. Ada bangsa indonesia yang tinggal di luar negeri sejak kecil di karenakan orang tuanya membawanya ke luar negeri dan tidak bisa berbahasa indonesia di karenakan di sesuaikan dengan negaranya, dan orang tuanya tidak mengajarkan berbahasa indonesia padahal anak dan orang tuanya orang indonesia. Oleh sebab itu, belajar berbahasa indonesia itu penting jika kita mengetahui arti dari apa yang kita bicarakan bahasa indonesia itu semakin menarik jika kita belajar dengan sungguh-sungguh. Yang menariknya lagi kita bisa belajar bahasa indonesia pada zaman dahulu, yang masih sulit dan tidak mudah untuk dimengerti dan jarang orang menyebutnya sebab sudah di sesuaikan dengan ejaan yang di sempurnakan (EYD).
Untuk itu bahasa indonesia sangat penting untuk di pelajari, jika kita mempelajarinya dengan bahasa yang sopan. Dan tidak semua mempelajari dengan bahasa yang sopan, ada juga yang mengkolborasikannya dengan bahasa yang lain tetapi suka di salah gunakan oleh orang tersebut untuk mudah di ingat bahkan ada juga yang mengkolaborasikannya dengan bahasa yang menarik dan mudah di ingat. Dan kita harus membacanya dengan baik, tidak hanya fasih berbahasa indonesia tetapi kita harus membacanya dengan baik dan benar. Untuk itu gunanya bahasa indonesia bisa untuk percakapan komunikasi antar sesama namun juga bisa di buat dengan kata yang indah, mudah di ingat, di pahami, di jadikan kiasan, di jadikan pelajaran dan acuan bagi yang memilikinya.
Kita belajar bahasa indonesia sejak kecil bagi orang indonesia itu adalah ilmu pasti dan tidak akan pernah lepas, oleh sebab itu belajar bahasa indonesia tidak semua orang bisa mempelajarinya, bahkan ada orang luar negeri yang tertarik untuk mempelajarinya. Sampai- sampai mencari orang yang ahli untuk belajar bahasa indonesia.

Kenapa kita harus mempelajari bahasa indonesia.

Sebagai warga dan bangsa indonesia kita patut untuk mempelajarinya oleh sebab itu, sebagai warga indonesia kita bangga untuk mempelajarinya. Tidak semua orang indonesia mahir berbahasa indonesia, bisa berbicara dengan lancar tetapi tidak mengerti apa arti dari kata tersebut, dengan asal berucap kata tetapi tidak mengerti artinya. Ada bangsa indonesia yang tinggal di luar negeri sejak kecil di karenakan orang tuanya membawanya ke luar negeri dan tidak bisa berbahasa indonesia di karenakan di sesuaikan dengan negaranya, dan orang tuanya tidak mengajarkan berbahasa indonesia padahal anak dan orang tuanya orang indonesia. Oleh sebab itu, belajar berbahasa indonesia itu penting jika kita mengetahui arti dari apa yang kita bicarakan bahasa indonesia itu semakin menarik jika kita belajar dengan sungguh-sungguh. Yang menariknya lagi kita bisa belajar bahasa indonesia pada zaman dahulu, yang masih sulit dan tidak mudah untuk dimengerti dan jarang orang menyebutnya sebab sudah di sesuaikan dengan ejaan yang di sempurnakan (EYD).
Untuk itu bahasa indonesia sangat penting untuk di pelajari, jika kita mempelajarinya dengan bahasa yang sopan. Dan tidak semua mempelajari dengan bahasa yang sopan, ada juga yang mengkolborasikannya dengan bahasa yang lain tetapi suka di salah gunakan oleh orang tersebut untuk mudah di ingat bahkan ada juga yang mengkolaborasikannya dengan bahasa yang menarik dan mudah di ingat. Dan kita harus membacanya dengan baik, tidak hanya fasih berbahasa indonesia tetapi kita harus membacanya dengan baik dan benar. Untuk itu gunanya bahasa indonesia bisa untuk percakapan komunikasi antar sesama namun juga bisa di buat dengan kata yang indah, mudah di ingat, di pahami, di jadikan kiasan, di jadikan pelajaran dan acuan bagi yang memilikinya.
Kita belajar bahasa indonesia sejak kecil bagi orang indonesia itu adalah ilmu pasti dan tidak akan pernah lepas, oleh sebab itu belajar bahasa indonesia tidak semua orang bisa mempelajarinya, bahkan ada orang luar negeri yang tertarik untuk mempelajarinya. Sampai- sampai mencari orang yang ahli untuk belajar bahasa indonesia.
Kenapa kita harus belajar bahasa indonesia.

Sebagai warga dan bangsa indonesia kita patut untuk mempelajarinya oleh sebab itu, sebagai warga indonesia kita bangga untuk mempelajarinya. Tidak semua orang indonesia mahir berbahasa indonesia, bisa berbicara dengan lancar tetapi tidak mengerti apa arti dari kata tersebut, dengan asal berucap kata tetapi tidak mengerti artinya. Ada bangsa indonesia yang tinggal di luar negeri sejak kecil di karenakan orang tuanya membawanya ke luar negeri dan tidak bisa berbahasa indonesia di karenakan di sesuaikan dengan negaranya, dan orang tuanya tidak mengajarkan berbahasa indonesia padahal anak dan orang tuanya orang indonesia. Oleh sebab itu, belajar berbahasa indonesia itu penting jika kita mengetahui arti dari apa yang kita bicarakan bahasa indonesia itu semakin menarik jika kita belajar dengan sungguh-sungguh. Yang menariknya lagi kita bisa belajar bahasa indonesia pada zaman dahulu, yang masih sulit dan tidak mudah untuk dimengerti dan jarang orang menyebutnya sebab sudah di sesuaikan dengan ejaan yang di sempurnakan (EYD).
Untuk itu bahasa indonesia sangat penting untuk di pelajari, jika kita mempelajarinya dengan bahasa yang sopan. Dan tidak semua mempelajari dengan bahasa yang sopan, ada juga yang mengkolborasikannya dengan bahasa yang lain tetapi suka di salah gunakan oleh orang tersebut untuk mudah di ingat bahkan ada juga yang mengkolaborasikannya dengan bahasa yang menarik dan mudah di ingat. Dan kita harus membacanya dengan baik, tidak hanya fasih berbahasa indonesia tetapi kita harus membacanya dengan baik dan benar. Untuk itu gunanya bahasa indonesia bisa untuk percakapan komunikasi antar sesama namun juga bisa di buat dengan kata yang indah, mudah di ingat, di pahami, di jadikan kiasan, di jadikan pelajaran dan acuan bagi yang memilikinya.
Kita belajar bahasa indonesia sejak kecil bagi orang indonesia itu adalah ilmu pasti dan tidak akan pernah lepas, oleh sebab itu belajar bahasa indonesia tidak semua orang bisa mempelajarinya, bahkan ada orang luar negeri yang tertarik untuk mempelajarinya. Sampai- sampai mencari orang yang ahli untuk belajar bahasa indonesia.

Minggu, 17 Oktober 2010

Kata Baku - Tidak Baku

Kata Baku – Tidak Baku

A
apotek - apotik
atlet - atlit
antarnegara - antar negara
aktivitas - aktifitas
aktif - aktip
aki - accu
aksi - action
akuarium - aquarium
abstrak - abstrac
akomodasi - akomodir
aktivitas - aktifitas
anggota - anggauta

B
berpikir - berfikir
bus -bis

C
cenderamata - cinderamata

D
direktur - director
dasawisma - dasa wisma
deputi - deputy
diagnosis -diadnosa
devaluasi - defaluasi
disahkan - disyahkan
dipersilakan - dipersilahkan

E
elektronik - electronik

G
grup - group

H
hierarki - hirarkhi
hipotesis -hipotesa
hakikat - hakekat

I
institut - institute
imbau - himbau

J
Jadual – jadwal
Jaman - zaman

K
kultur - culture
konkret - konkrit-kongkrit
kualitas - kwalitas
kaidah - kaedah
konduite - kondite
kongres - konggres

L
legalisiasi - legalisir
lesung pipi - lesung pipit

M
mengubah - merubah
mengkreditkan - mengreditkan
mengesampingkan- mengenyampingkan
monarki - monarkhi
memesona - mempesona

N
nasihat - nasehat

O
objek - obyek

P
pelanggan - langganan
pihak - fihak
pengkreditan - pengreditan
pascapanen - pasca panen
pancaroba - panca roba
pertanggungjawaban - pertanggung jawaban
pukul 19.30 WIB - jam 19.30 WIB

R
rute - route
relatif - relative
ramadan - ramadhan

S
struktur - structure
sekuritas - Security
standardisasi - standarisasi
sportivitas - sportifitas
sportif - sportip
sistem - sistim
sekadar - sekedar

T
telepon - tilpon-telpon
teater - theatre
teknologi - tekhnologi; technologi
taksi - taxi
terempas - terhempas

U
utang - hutang
universitas - university